Design Of a Novel Gated 5T SRAM Cell with Low Power Dissipation in Active and Sleep Mode

K. Neelima*, K. C. Lakshmi Narayana**
* M.Tech Student, Department of ECE, Siddartha Educational Academy Group of Institutions, Chinthagunta (V), Near C.Gollapalli, Tirupati.
** Assistant Professor, Department of ECE,Siddartha Educational Academy Group of Institutions, Chinthagunta (V), Near C.Gollapall , Tirupati.
Periodicity:September - November'2014
DOI : https://doi.org/10.26634/jcir.2.4.3220

Abstract

As technology scales down, the leakage power becomes dominant due to the second order effects of the transistors. The leakage power aids in considerable increase of the total power dissipation of the device. The existing SRAM cells at submicron region dissipate more power and become in stable in spite of applying low power techniques like multithreshold logic, body biasing techniques, stacked structures etc. This paper concentrates on low power highly stable SRAM cell using only five transistors and a low power technique called Gated Bias Technique. In this paper, the schematics are developed using Digital Schematic Tool and the corresponding layouts are developed using Microwind Tool. The designs are optimized for low area with good stability and low power dissipation. Further they are compared for various Technologies downline from 180nm to 90nm.

Keywords

SRAM, Gated Bias Technique, Body Biasing Technique, Submicron Region.

How to Cite this Article?

Neelima, K., and Narayana, K. C. L. (2014). Design Of a Novel Gated 5T SRAM Cell with Low Power Dissipation in Active and Sleep Mode. i-manager’s Journal on Circuits and Systems, 2(4), 13-20. https://doi.org/10.26634/jcir.2.4.3220

References

[1]. P. Upadhyay, Prasanta Kundu, R. Kar, D. Mandal, S. P. Ghoshal, (2014). “A Novel 10T SRAM Cell with Low Power Dissipation in Active and Sleep Mode for Write Operation,” th 11 International Joint Conference on Computer Science and Software Engineering, pp. 206-211.
[2]. Andrea Calimera, Alberto Maci, Enrico Macii, Massimo Poncino, (2012). “Design Techniques and Architectures for Low- Leakage SRAMs”, IEEE Transactions on Circuits and Systems-I, Vol.59, No.9, pp.1992-2007.
[3]. N. S. Kim, T. Austin, D. Baauw, T. Mudge, K. Flautner, J. Hu, M. Irwin, M. Kandemir, V. Narayanan, (2003). “Leakage Current: Moore's Law Meets Static Power”, IEEE International Journal of Computer, Vol.36, No.12, pp. 68-75.
[4]. N. Azizi, A. Moshovos, F. Najm, (2002). “Low-Leakage Asymmetric-Cell SRAM”, International Symposium on Low Power Electronics and Design, pp.48-51.
[5]. K. Nii, H. Makino, Y. Tujihashi, C. Morishima, Y. Hayakawa, H.Nunogami, T. Arakawa, H. Hamano, (1998). “A Low Power SRAM Using Auto-Backgate-Controlled MTCMOS”, International Symposium on Low Power Electronics and Design, pp.293-298.
[6]. S. Tang, S. Hsu, Y. Ye, J. Tschanz, D. Somasekhar, S. Narendra, S. L. Lu, R. Krishnamurthy, V. De, (2002). “Scaling of Stack Effect and its Application for Leakage Reduction”, Symposium on VLSI Circuits Digest of Technical Papers, pp.320-321.
[7]. V. Degalahal, N. Vijaykrishnan, M. Irwin, (2003). “Analyzing soft errors in leakage optimized SRAM design”, IEEE International Conference on VLSI Design, pp.227-233.
[8]. K. Flautner, N. S. Kim, S. Martin, D. Blaauw, T. Mudge, (2002). “Drowsy Caches: Simple Techniques for Reducing Leakage Power”, International Symposium on Computer Architecture, pp.148-157.
[9]. Hao Yan, Donghui Wang, Chaohuan Hou, (2011). “The Design of Low Leakage SRAM Cell with High SNM”, IEEE 9th International Conference on ASIC, pp.287-290.
[10]. Cheng-Hung Lo, Shi-Yu Huang, (2011). “P-P-N Based 10T SRAM Cell for Low-Leakage and Resilient Subthreshold Operation”, IEEE Journal of Solid-State Circuits, Vol.46, No.3, pp.695-703.
[11]. Daeyeon Kim, Gregory Chen, Matthew Fojtik, Mingoo Seok, David Blaauw, Dennis Sylvester, (2011). “A 1.85fW/bit Ultra Low Leakage 10T SRAM with Speed Compensation Scheme”, IEEE International Symposium on Circuits and Systems, pp.69-72.
[12]. A. Islam, M. Hasan, (2012). “Leakage Characterization of 10T SRAM Cell”, IEEE Transactions on Electron Devices, Vol.59, No.3, pp.631-638.
[13]. Sapna Singhl, Neha Arora, Neha Gupta, Meenakshi Suthar, (2012). “Leakage Reduction in Differential 10T SRAM Cell using Gated VDD Control Technique”, International Conference on Computing Electronics and Electrical Technologies, pp.610-614.
[14]. B. Alorda, G. Torrens, S. Bota, J. Segura, (2010). “Static and Dynamic Stability Improvement Strategies for 6T CMOS Low-power SRAMs”, IEEE International Conference on Design, Automation and Testing, pp. 429- 434.
[15]. N. H. E. Weste, D. Harris, A. Banerjee, (2005). "CMOS VLSI Design”, Pearson Education, 3rd Edition, pp.55-57.
If you have access to this article please login to view the article or kindly login to purchase the article

Purchase Instant Access

Single Article

North Americas,UK,
Middle East,Europe
India Rest of world
USD EUR INR USD-ROW
Pdf 35 35 200 20
Online 35 35 200 15
Pdf & Online 35 35 400 25

Options for accessing this content:
  • If you would like institutional access to this content, please recommend the title to your librarian.
    Library Recommendation Form
  • If you already have i-manager's user account: Login above and proceed to purchase the article.
  • New Users: Please register, then proceed to purchase the article.