Crosstalk Noise Detection and Monitoring in WDM-Based Optical Network on Chip

Ahmed Jedidi*, Maha Ebrahim Khalifa Al-Sadoon**
*Assistant Professor, Department of Computer and Telecommunication Engineering, College of Engineering, Ahlia University, Bahrain & Member, Computer & Embedded Systems laboratory, University of Sfax, Tunisia.
** Postgraduate in Information Technology & Computer Science, Ahlia University, Bahrain.
Periodicity:July - December'2018
DOI : https://doi.org/10.26634/jes.7.1.14467

Abstract

Multiprocessor System-on-Chip (MPSoC) is an attractive solution to provide a high performance computing required by the new applications are growing exponentially such as in multimedia applications. However, the communication between processors' cores presents a serious problem in the era of MPSoC. The network on chip (NoC) is presented as a promising solution is acknowledged that it is still limited with increasing data exchange. Moreover, the traditional NoC faces two main problems: the bandwidth and energy consumption. However, a new technology in MPSoC, namely,optical network-on-chip (ONoC) has been introduced which uses optical communication. In addition, wavelength division multiplexing (WDM) is exploited in ONoC to reach a high rate of bandwidth. Nevertheless, the ONoC components inducing crosstalk noise to the optical signal and direct effect the signal-to-noise ratio(SNR). In this article, new system has been proposed to address these impairments in the network in order to detect and monitor crosstalk noise in WDMbased ONoC. The register-transfer level (RTL) hardware design and implementation of this system can result in high reliability, scalability and efficiency with running time less than 20 ms.

Keywords

Optical Network on Chip, Wavelength Division Multiplexing, Crosstalk Noise, Detection Crosstalk, Waveguide, Optical Router.

How to Cite this Article?

Jedidi,A.,and Al Sadoon,M.(2018). Crosstalk Noise Detection and Monitoring in Wdmbased Optical Network on Chip.i-manager's Journal on Embedded Systems,7(1),1-10. https://doi.org/10.26634/jes.7.1.14467

References

[1]. Bonetto, E., Chiaraviglio, L., Cuda, D., Castillo, G. A. G., & Neri, F. (2009, September). Optical technologies can improve the energy efficiency of networks. In Optical Communication, 2009. ECOC'09. 35th European Conference on (pp. 1-4). IEEE.
[2]. Chan, J., Hendry, G., Bergman, K., & Carloni, L. P. (2011). Physical-layer modeling and system-level design of chip-scale photonic interconnection networks. IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, 30(10), 1507-1520.
[3]. Cianchetti, M. J., Kerekes, J. C., & Albonesi, D. H. (2009). Phastlane: A rapid transit optical routing network. ACM SIGARCH Computer Architecture News, 37(3), 441- 450.
[4]. Ding, W., Tang, D., Liu, Y., Chen, L., & Sun, X. (2010). Compact and low crosstalk waveguide crossing using impedance matched metamaterial. Applied Physics Letters, 96(11), 111-114.
[5]. Dong, P., Qian, W., Liao, S., Liang, H., Kung, C. C., Feng, N. N., ... & Asghari, M. (2010, July). Low loss silicon waveguides for application of optical interconnects. In Photonics Society Summer Topical Meeting Series, 2010 IEEE (pp. 191-192). IEEE.
[6]. Duong, L. H., Nikdast, M., Le Beux, S., Xu, J., Wu, X., Wang, Z., & Yang, P. (2014). A case study of signal-to-noise ratio in ring-based Optical Networks-on-Chip. IEEE Design & Test, 31(5), 55-65.
[7]. Duong, L. H., Wang, Z., Nikdast, M., Xu, J., Yang, P., Wang, Z., ... & Le Beux, S. (2016). Coherent and incoherent crosstalk noise analyses in interchip/intrachip optical interconnection networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(7), 2475- 2487.
[8]. Fusella, E., & Cilardo, A. (2016). Crosstalk-aware automated mapping for optical networks-on-chip. ACM Transactions on Embedded Computing Systems (TECS), 16(1), 16:1-16:26.
[9]. Gambini, F., Faralli, S., Pintus, P., Andriolli, N., & Cerutti, I. (2015). BER evaluation of a low-crosstalk silicon integrated multi-microring network-on-chip. Optics Express, 23(13), 17169-17178.
[10]. Gu, H., Xu, J., & Zhang, W. (2009, April). A low-power fat tree-based optical network-on-chip for multiprocessor system-on-chip. In Proceedings of the Conference on Design, Automation and Test in Europe (pp. 3-8). European Design and Automation Association.
[11]. Jedidi, A., Rejeb, R., & Abid, M. (2010). Detection and localization of crosstalk in an all-optical network. Journal of Optics, 13(1), 015506.
[12]. Nikdast, M., Xu, J., Duong, L. H. K., Wu, X., Wang, X., Wang, Z., ... & Hao, Q. (2015). Crosstalk noise in WDMbased optical networks-on-chip: A formal study and comparison. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, (11), 2552-2565.
[13]. Nikdast, M., Xu, J., Wu, X., Zhang, W., Ye, Y., Wang, X., ... & Wang, Z. (2014). Systematic analysis of crosstalk noise in folded-torus-based Optical Networks-on-Chip. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 33(3), 437-450.
[14]. Wang, Z., Xu, J., Wu, X., Ye, Y., Zhang, W., Nikdast, M., ... & Wang, Z. (2014). Floorplan optimization of fat-tree-based networks-on-chip for chip multiprocessors. IEEE Transactions on Computers, 63(6), 1446-1459.
[15]. Xie, Y., Nikdast, M., Xu, J., Wu, X., Zhang, W., Ye, Y., ... & Liu, W. (2013). Formal worst-case analysis of crosstalk noise in mesh-based Optical Networks-on-Chip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 21(10), 1823-1836.
[16]. Xie, Y., Nikdast, M., Xu, J., Zhang, W., Li, Q., Wu, X., ... & Liu, W. (2010, June). Crosstalk noise and bit error rate analysis for optical network-on-chip. In Design Automation Conference (DAC), 2010 47th ACM/IEEE (pp. 657-660). IEEE.
[17]. Xie, Y., Xu, J., Zhang, J., Wu, Z., & Xia, G. (2012). Crosstalk Noise Analysis and Optimization in 5 x 5 Hitless Silicon-based Optical Router for Optical Networks-on- Chip (ONoC). Journal of Lightwave Technology, 30(1), 198-203.
[18]. Zhang, L., Regentova, E. E., & Tan, X. (2011, May). A 2D-torus based packet switching Optical Network-on- Chip architecture. In Photonics and Optoelectronics (SOPO), 2011 Symposium on (pp. 1-4). IEEE.
If you have access to this article please login to view the article or kindly login to purchase the article

Purchase Instant Access

Single Article

North Americas,UK,
Middle East,Europe
India Rest of world
USD EUR INR USD-ROW
Pdf 35 35 200 20
Online 35 35 200 15
Pdf & Online 35 35 400 25

Options for accessing this content:
  • If you would like institutional access to this content, please recommend the title to your librarian.
    Library Recommendation Form
  • If you already have i-manager's user account: Login above and proceed to purchase the article.
  • New Users: Please register, then proceed to purchase the article.