Electromigration – A Brief Survey

Satigouda Patil*, H. P. Rajani**
* Research Scholar, VTU, Belgaum, India
** Professor, Department of Electronics and Communication Engineering, KLESCET, Belagavi, India.
Periodicity:June - August'2017
DOI : https://doi.org/10.26634/jcir.5.3.13814

Abstract

As the feature size shrinks, Electromigration (EM) becomes a more critical reliability issue in IC design. EM around the via structures account for much of the reliability problems in ICs [13]. Electromigration is increasingly relevant to physical design of the electronic circuits. It is caused by excess current density stress in the interconnect. The ongoing reduction of the circuit feature sizes has aggravated the problem over last couple of years. It is therefore an important reliability issue to consider electromigration-related design parameters during physical design as life-span of the chip is defined by how well EM verification is done for that chip. So EM is a very important check to be taken care in reliability checks. Literature deals with different aspect of EM in [1], [2], [10], [21], and [22]. In this paper, an effort is made to review different aspects of EM, solution proposed and scope for improvement. The authors have reviewed and identified various fascinating issues and possible solutions to address them.

Keywords

Electromigration (EM), Integrated Circuit (IC), Special Signal Non-Default Routing Rules (SSNDR), Mean Time to Failure (MTTF)

How to Cite this Article?

PATIL, S., and Rajani, H. P. (2017). Electromigration – A Brief Survey. i-manager’s Journal on Circuits and Systems, 5(3), 31-37. https://doi.org/10.26634/jcir.5.3.13814

References

[1]. Chen, L. D., Lin, B. L., Hsieh, M. H., Chang, C. W., Tsai, J. S. Peng, J. C. et al. (2015, April). Study of a new electromigration failure mechanism by novel test structure. In Reliability Physics Symposium (IRPS), 2015 IEEE International (pp. 2D-5). IEEE.
[2]. de Paris, L., Posser, G., & Reis, R. (2016, May). Electromigration aware circuits by using special signal nondefault routing rules. In Circuits and Systems (ISCAS), 2016 IEEE International Symposium on (pp. 2795-2798). IEEE.
[3]. Jain, P. & Jain, A. (2011, January). Accurate Estimation of Signal Currents for Reliability Analysis considering Advanced Waveform-Shape effects. In VLSI Design (VLSI Design), 2011 24th International Conference on (pp. 118- 123). IEEE.
[4]. Kludt, J., Weide-Zaage, K., Ackermann, M., Kovacs, C., & Hein, V. (2014, June). Reliability performance of different layouts of wide metal tracks. In Reliability Physics Symposium, 2014 IEEE International (pp. IT-4). IEEE.
[5]. Kuznetsov, G. V. & Sheremet, M. A. (2011). Conjugate natural convection. In Jenus Liening, Elec-tro migration and its impact on physical design in future technologies, VLSI Design Conf. 2013.
[6]. Li, B., Harmon, D., Gill, J., Chen, F., & Sullivan, T. (2004, October). Thermal and electromigration challenges for advanced interconnects. In Integrated Reliability Workshop Final Report, 2004 IEEE International (pp. 46-51). IEEE.
[7]. Li, D. A., & Marek-Sadowska, M. (2014, March). Estimating true worst currents for power grid electromigration analysis. In Quality Electronic Design  (ISQED), 2014 15th International Symposium on (pp. 708- 714). IEEE.
[8]. Li, X., Huang, B., Qin, J., Zhang, X., Talmor, M., Gur, Z., & Bernstein, J. B. (2005, March). Deep submicron CMOS integrated circuit reliability simulation with SPICE. In Quality of Electronic Design, 2005. ISQED 2005. Sixth International Symposium on (pp. 382-389). IEEE.
[9]. Lienig, J. (2005, April). Interconnect and current density stress: An introduction to electromigration-aware design. In Proceedings of the 2005 International Workshop on System Level Interconnect Prediction (pp. 81-88). ACM.
[10]. Lienig, J., & Jerke, G. (2005, January). Electromigration-aware physical design of intergrated th circuits. In VLSI Design, 2005. 18 International Conference on (pp. 77-82). IEEE.
[11]. Maex, K., Stucchi, M., Bamal, M., Grossar, E., Dehaene, W., Papanikolaou, A. et al. (2005, May). Technology aware design and design aware technology. In Integrated Circuit Design and Technology, 2005. ICICDT 2005. 2005 International Conference on (pp. 77-81). IEEE.
[12]. Malucci, R. D. (2013, September). The impact of electro-migration on various contact materials. In Holm th Conference on Electrical Contacts (HOLM), 2013 IEEE 59 (pp. 1-8). IEEE.
[13]. Pak, J., Yu, B., & Pan, D. Z. (2015, January). Electromigration-aware redundant via insertion. In Design  Automation Conference (ASP-DAC), 2015 20th Asia and South Pacific (pp. 544-549). IEEE.
[14]. Papanikolaou, A., Wang, H., Miranda, M., Catthoor, F., & Dehaene, W. (2008). Reliability issues in deep deep submicron technologies: Time-dependent variability and its impact on embedded system design. In VLSI-SoC: Research Trends in VLSI and Systems on Chip (pp. 119-141). Springer, Boston, MA.
[15]. Posser, G., Mishra, V., Jain, P., Reis, R., & Sapatnekar, S. S. (2016). Cell-internal electromigration: Analysis and pin placement based optimization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35(2), 220-231.
[16]. Reddy, V., Krishnan, A., et al. (2002). Impact of Negative Bias Temperature Instability on Digital Circuit Reliability, Intl. Reliability Physics Symp. (pp. 248–254).
[17]. Tan, C. M. (2014, June). Electromigration simulation at circuit levels. In Reliability Physics Symposium, 2014 IEEE International (pp. 5A-1). IEEE.
[18]. Tökei, Z., Li, Y. L., & Beyer, G. P. (2005). Reliability challenges for copper low-k dielectrics and copper diffusion barriers. Microelectronics Reliability, 45(9-11), 1436-1442.
[19]. VLSI CAD LAB, University of California, Santa Barbara.
[20]. Yang, S. F. & Chien, W. T. K. (2015). Electromigration lifetime optimization by uniform designs and a new lifetime index. IEEE Transactions on Reliability, 64(4), 1158-1163.
[21]. Zhang, Z., Basavalingappa, A., Lloyd, J. R., Tan, J., & Justison, P. (2014, June). Effect of via arrangement on electromigration performance. In Reliability Physics Symposium, 2014 IEEE International (pp. IT-6). IEEE.
[22]. Zhao, X. (2016, March). Exploration on electromigration short length effect of Low-K Cu interconnect. In Semiconductor Technology International Conference (CSTIC), 2016 China (pp. 1-2). IEEE.
If you have access to this article please login to view the article or kindly login to purchase the article

Purchase Instant Access

Single Article

North Americas,UK,
Middle East,Europe
India Rest of world
USD EUR INR USD-ROW
Pdf 35 35 200 20
Online 35 35 200 15
Pdf & Online 35 35 400 25

Options for accessing this content:
  • If you would like institutional access to this content, please recommend the title to your librarian.
    Library Recommendation Form
  • If you already have i-manager's user account: Login above and proceed to purchase the article.
  • New Users: Please register, then proceed to purchase the article.