Design Of A Low Power And Highly Stable Single Ended SRAM Cell

K. Neelima*, K. Purushotham Prasad**
* M.Tech Student, Department of ECE, Siddartha Educational Academy Group of Institutions, Chinthagunta (V), Near C.Gollapalli, Tirupati .
** Assistant Professor, Department of ECE,Siddartha Educational Academy Group of Institutions, Chinthagunta (V), Near C.Gollapall , Tirupati.
Periodicity:December - February'2015
DOI : https://doi.org/10.26634/jcir.3.1.3260

Abstract

As the area is shrinking with the scaling of technology, the design of SRAM memory with less leakage power becomes essential for portable devices. Due to the second order effects, the leakage power dominates the static and dynamic power at deep submicron technology. Inspite of applying low power techniques like multi-threshold logic, body biasing techniques, stacked structures etc, the existing SRAM designs at submicron region dissipate more power and become instable. This paper concentrates on low power highly stable single ended SRAM cell design using only seven transistors using Lector and Galeor based low power Techniques. Digital Schematic Tool is used to develop schematics. Microwind Tool is used to develop Layouts at different nanometer technologies. The designs are optimized for low power and good static noise margin. Also the designs are compared for submicron technologies downline from 180nm to 90nm.

Keywords

SRAM, Lector Technique, Galeor Technique, Deep Submicron Region.

How to Cite this Article?

Neelima, K. and Prasad, K. P. (2015). Design of a Low Power And Highly Stable Single Ended SRAM Cell. i-manager’s Journal on Circuits and Systems, 3(1), 28-34. https://doi.org/10.26634/jcir.3.1.3260

References

[1]. Neelima K, K.C. Lakshmi Narayana, (2014). Design Of a Novel Gated 5t SRAM Cell with Low Power Dissipation in Active and Sleep Mode. i-manager's Journal on Circuits and Systems, 2(4) Sep-Nov, 2014 Print ISSN 2321-7502, EISSN 2322-035X, pp. 13-20.
[2]. P. Upadhyay, Prasanta Kundu, R. Kar, D. Mandal, S. P. Ghoshal, (2014). “A Novel 10T SRAM Cell with Low Power Dissipation in Active and Sleep Mode for Write Operation”, 11 International Joint Conference on Computer Science and Software Engineering, pp. 206-211.
[3]. Andrea Calimera, Alberto Maci, Enrico Macii, Massimo Poncino, (2012). “Design Techniques and Architectures for Low- Leakage SRAMs”, IEEE Transactions on Circuits and Systems-I, Vol.59, No.9, pp.1992-2007.
[4]. N. S. Kim, T. Austin, D. Baauw, T. Mudge, K. Flautner, J. Hu, M. Irwin, M. Kandemir, V. Narayanan, (2003). “Leakage Current: Moore's Law Meets Static Power”, IEEE International Journal of Computer, Vol.36, No.12, pp. 68-75.
[5]. N. Azizi, A. Moshovos, F. Najm, (2002). “Low-Leakage Asymmetric-Cell SRAM”, IEEE Transaction on very Large Scale Integration Systems, Vol. 11, No. 4, PP. 701-715.
[6] S. Tang, S. Hsu, Y. Ye, J. Tschanz, D. Somasekhar, S. Narendra, S. L.Lu, R. Krishnamurthy, V. De, (2002). “Scaling of Stack Effect and its Application for Leakage Reduction”, Symposium on VLSI Circuits Digest of Technical Papers, pp.320-321.
[7]. Singhal. S, Gaur. N. Mehra. A, Kumar. P, (2015). “Analysis and comparison of leakage power reduction techniques in nd CMOS Circuits”, 2nd International Conference on Signal Processing and Integrated Networks (SPIN), pp.936-944.
[8]. V. Degalahal, N. Vijaykrishnan, M. Irwin, (2003). “Analyzing soft errors in leakage optimized SRAM design”, IEEE International Conference on VLSI Design, pp.227-233.
[9]. K. Flautner, N. S. Kim, S. Martin, D. Blaauw, T. Mudge, (2002). “Drowsy Caches: Simple Techniques for Reducing Leakage Power”, International Symposium on Computer Architecture, pp.148-157.
[10]. Hao Yan, Donghui Wang, Chaohuan Hou, (2011). “The Design of Low Leakage SRAM Cell with High SNM”, IEEE 9th International Conference on ASIC, pp.287-290.
[11]. Cheng-Hung Lo, Shi-Yu Huang, (2011). “P-P-N Based 10T SRAM Cell for Low-Leakage and Resilient Subthreshold Operation”, IEEE Journal of Solid-State Circuits, Vol.46, No.3, pp.695-703.
[12]. Daeyeon Kim, Gregory Chen, Matthew Fojtik, Mingoo Seok, David Blaauw, Dennis Sylvester, (2011). “A 1.85fW/bit Ultra Low Leakage 10T SRAM with Speed Compensation Scheme”, IEEE International Symposium on Circuits and Systems, pp.69-72.
[13]. A. Islam, M. Hasan, (2012). “Leakage Characterization of 10T SRAM Cell”, IEEE Transactions on Electron Devices, Vol.59, No.3, pp.631-638.
[14]. Sapna Singhl, Neha Arora, Neha Gupta, Meenakshi Suthar, (2012). “Leakage Reduction in Differential 10T SRAM Cell using Gated VDD Control Technique”, International Conference on Computing Electronics and Electrical Technologies, pp.610-614.
[15] N. H. E. Weste, D. Harris, A. Banerjee, (2005). "CMOS VLSI Design", Pearson Education, 3rd Edition, pp.55-57.
If you have access to this article please login to view the article or kindly login to purchase the article

Purchase Instant Access

Single Article

North Americas,UK,
Middle East,Europe
India Rest of world
USD EUR INR USD-ROW
Pdf 35 35 200 20
Online 35 35 200 15
Pdf & Online 35 35 400 25

Options for accessing this content:
  • If you would like institutional access to this content, please recommend the title to your librarian.
    Library Recommendation Form
  • If you already have i-manager's user account: Login above and proceed to purchase the article.
  • New Users: Please register, then proceed to purchase the article.