Reduced Wirelength-Based Low Power Performance of Multibit Flip-Flop

M.Karthick*, S. Vijayakumar**
*PG Scholar, Department of Electronics and Communication Engineering, Paavai Engineering College, Namakkal, India.
**Associate Professor, Department of Electronics and Communication Engineering, Paavai Engineering College, Namakkal, India.
Periodicity:September - November'2013
DOI : https://doi.org/10.26634/jcir.1.4.2593

Abstract

Power reduction is a main parameter to design VLSI circuits. In this paper, to design a number of D-flip flop performing at the same time, the given clock signal is reduced using multi bit flip-flop. The multi bit flip flop is mainly used to improve the clock power for the given common clock signal and to reduce the switching power. This method is performed to replace some D flip-flop into multi bit flip-flops with the given common clock input. In this proposed technique, first step is to identify the flip flops and its placed location, second step is to build the combination table mainly by merging the flip flop and removing the unwanted merging flip-flops, final step is to assign the region , place the flip flop in these flip-flops merging and replacing the merging location. By using this method, the result is used to reduce the power to 24mW and area by reducing to 35 gate count ,because this method considers the area measured as the number of gate count in the merging flip flop.

Keywords

Switching Power Reduction, Multi Bit Flip-flop, Merge and Clock Power.

How to Cite this Article?

Karthick, M. and Vijayakumar, S. (2013). Reduced Wire length-Based Low Power Performance Of Multibit Flip-Flop. i-manager’s Journal on Circuits and Systems, 1(4), 22-26. https://doi.org/10.26634/jcir.1.4.2593

References

[1]. Ya-Ting Shyu, Jai-Ming Lin, Chun-Po Huang, Cheng- Wu Lin, Ying-Zu Lin, and Soon-Jyh Chang (2013). “Effective and Efficient Approach for Power Reduction by Using Multi- Bit Flip-Flops” IEEE Transactions On Very Large Scale Integration (VLSI) Systems, Volume 21, Number 4.
[2]. An-Chi Chang And Ting-Ting Hwang, (2012). ” Synthesis of Multi-Bit Flip-Flops for Clock Power reduction”, Interdisciplinary Information Sciences, Volume 18, Number 2 , pages145–159.
[3]. S.H. Wang, Y.Y. Liang, T.Y. Kuo and W.K. Mak, (2011). “Power driven flip-flop merging and relocation”, Proceeding of the ISPD, pages 107-114.
[4]. Y.T. Chang, c.c. Hsu, P.H. Lin, Y.W. Tasi, and S.F. Chen, (2010). ”Post-placement power optimization with multi-bit flip-flop,” in Proceeding of the IEEE/ACM Computer Aided Design International conference.
[5]. Y. Cheon, P.-H. Ho, A. B. Kahng, S. Reda &Q.Wang, (2005). “Power-aware placement, ” in Proceeding of the Design Automation Conference., pages. 795–800.
[6]. J.-T. Yan and Z.-W. Chen, (2010). “Construction of constrained multi-bit flip-flops for clock power reduction,” in Proceeding of the ICGCS.
[7]. D. Duarte, V. Narayanan, and M. J. Irwin, (2002). “Impact of technology scaling in the clock power,” in Proceeding of the IEEE VLSI Computer SoC Anna university Symposium, Pittsburgh, PA.
[8]. 2010 CAD Contest of Taiwan [Online].http:// cadcontest.ee.ntu.edu.tw/cad10/Problems/B1?Faraday ?091223?MultiBitFF.pdf.
[9]. L. Chen, A. Hung, H.-M. Chen, E. Y.-W. Tsai, and C.-C. Chen, “Using multi-bit flip-flop for clock power saving by Design Compiler,” in Proceeding of the Synopsys User Group (SNUG).
[10]. H. Kawagachi and T. Sakurai, (1997). “A reduced clock-swing flip-flop (RCSFF) for 63% clock power reduction,” in VLSI Circuits Digital Technique Papers Symposium., pages.97–98.
[11]. P. Gronowski, W. J. Bowhill, R. P. Preston, M. K. Gowan, and R. L.Allmon, (1998). “High-performance microprocessor design,” IEEE Journal on Solid-StateCircuits, Volume. 33, Number. 5, pages. 676–686.
[12]. H. Kawagachi and T. Sakurai, “A reduced clock-swing flip-flop (RCSFF) for 63% clock power reduction,” in VLSI Circuits Digital.Technique. Papers
[13].H.-R. Jiang and M.-H. Wu (2008), “Power-state-aware buffered tree construction,”in Proceeding of the IEEE ICCD,pages. 21–26.
[14]. J.-T. Yan and Z.-W. Chen, (2010). “Construction of constrained multi-bit flip-flops for clock power reduction,”in Proceeding of the ICGCS, pages. 675–678.
[15]. Y. Kretchmer, (2001). “Using multi-bit register inference
If you have access to this article please login to view the article or kindly login to purchase the article

Purchase Instant Access

Single Article

North Americas,UK,
Middle East,Europe
India Rest of world
USD EUR INR USD-ROW
Pdf 35 35 200 20
Online 35 35 200 15
Pdf & Online 35 35 400 25

Options for accessing this content:
  • If you would like institutional access to this content, please recommend the title to your librarian.
    Library Recommendation Form
  • If you already have i-manager's user account: Login above and proceed to purchase the article.
  • New Users: Please register, then proceed to purchase the article.