Performance Parameters of Low Power SRAM cells: A Review

Nidhi Tiwari*, Vaibhav Neema**, Kamal J Rangra***, Yogesh Chandra Sharma****
* Research Scholar, Department of Electronics and Communication Engineering, Vivekananda Global University, Jaipur, India.
** Assistant Professor, Department of Electronics and Communication Engineering, Institute of Engineering and Technology, Devi Ahilya University, Indore, India.
*** Chief Scientist, Transducers and Actuators Group, CSIR-CEERI, Pilani, India.
**** Professor, Department of Physics, Vivekananda Global University, Jaipur, India.
Periodicity:December - February'2018
DOI : https://doi.org/10.26634/jcir.6.1.14495

Abstract

In this paper, various Low Power SRAM cell design techniques have been reviewed on the basis of power, stability, and delay. Many studies have proposed various SRAM architectures for different applications. It has been reported that 6T SRAM cell are high in speed but at low supply voltage, stability is a critical issue. It is found that 8T SRAM cell shows the highest level of stability at low supply voltage, but it has area penalty. Hence in this work all the required performance parameters of various SRAM cell architectures have been reviewed. This work will be helpful for VLSI designer to choose proper memory architecture as per applications. For example, machine learning needs high performance memory block while bio-medical implants require low power memory block. This paper also presents various tradeoffs between various design parameters of SRAM.

Keywords

Static Random Access Memory (SRAM), Low Power VLSI Design, Stability, Static Noise Margins (SNM), Delay.

How to Cite this Article?

Tiwari, N., Neema, V., Rangra, K. J., and Sharma, Y. C. (2018). Performance Parameters of Low Power SRAM cells: A Review. i-manager’s Journal on Circuits and Systems, 6(1), 25-34. https://doi.org/10.26634/jcir.6.1.14495

References

[1]. Akashe, S., Tiwari, N. K., & Sharma, R. (2012). Simulation and stability analysis of 6T and 9T SRAM cell in 45 nm era. In nd Power, Control and Embedded Systems (ICPCES), 2012 2 International Conference on (pp. 1-6). IEEE.
[2]. Alorda, B., Carmona, C., Torrens, G., & Bota, S. (2016). An affordable experimental technique for SRAM write margin characterization for nanometer CMOS technologies. Microelectronics Reliability, 65, 280-288.
[3]. Butzen, P. F., & Ribas, R. P. (2006). Leakage current in submicrometer CMOS gates. Universidade Federal do Rio Grande do Sul, 1-28.
[4]. Farkhani, H., Peiravi, A., & Moradi, F. (2015). A new write assist technique for SRAM design in 65 nm CMOS technology. Integration, the VLSI Journal, 50, 16-27.
[5]. Hazucha, P., Karnik, T., Maiz, J., Walstra, S., Bloechel, B., Tschanz, J., ... & Borkar, S. (2003). Neutron soft error rate measurements in a 90-nm CMOS process and scaling trends in SRAM from 0.25-/spl mu/m to 90-nm generation. In Electron Devices Meeting, 2003. IEDM'03 Technical Digest. IEEE International (pp. 21-5). IEEE.
[6]. Hoffmann, K. (1982). Status and trends of dynamic and static RAMs. Microprocessing and Microprogramming, 10(2-3), 119-127. .
[7]. Jiao, H., & Kursun, V. (2010). Tri-mode operation for noise reduction and data preservation in low-leakage multi-threshold CMOS circuits. In IFIP/IEEE International Conference on Very Large Scale Integration-System on a Chip (pp. 258-290). Springer, Berlin, Heidelberg.
[8]. Jiao, H., Qiu, Y., & Kursun, V. (2016a). Low power and robust memory circuits with asymmetrical ground gating. Microelectronics Journal, 48, 109-119.
[9]. Jiao, H., Qiu, Y., & Kursun, V. (2016b). Variability-aware 7T SRAM circuit with low leakage high data stability SLEEP mode. Integration, the VLSI Journal, 53, 68-79.
[10]. Kang, S. M., & Leblebici, Y. (2003). CMOS Digital Integrated Circuits: Analysis and Design. Tata Mcgraw-Hill.
[11]. Khare, K., Khare, N., Kulhade, V. K., & Deshpande, P. (2008). VLSI design and analysis of low power 6T SRAM cell using cadence tool. In Semiconductor Electronics, 2008. ICSE 2008. IEEE International Conference on (pp. 117-121). IEEE
[12]. Kim, J., & Mazumder, P. (2017). A robust 12T SRAM cell with improved write margin for ultra-low power applications in 40nm CMOS. Integration, the VLSI Journal, 57, 1-10.
[13]. Margala, M. (1999). Low-power SRAM circuit design. In Memory Technology, Design and Testing, 1999. Records of the 1999 IEEE International Workshop on (pp. 115-122). IEEE.
[14]. Predictive Technology Model (PTM). Retrieved from http://www.eas.asu.edu/_ptm/
[15]. Shrivas, J., & Akashe, S. (2012). Impact of Design Parameter on SRAM Bit Cell. In Advanced Computing & Communication Technologies (ACCT), 2012 Second International Conference on (pp. 353-356). IEEE.
[16]. Siebel, O. F., Schneider, M. C., & Galup-Montoro, C. (2012). MOSFET threshold voltage: Definition, extraction, and some applications. Microelectronics Journal, 43(5), 329-336.
[17]. Tanaka, C., Saitoh, M., Ota, K., & Numata, T. (2015). Analysis of static noise margin improvement for low voltage SRAM composed of nano-scale MOSFETs with ideal subthreshold factor and small variability. Solid-State Electronics, 109, 58-62.
[18]. Upadhyay, P., Kar, R., Mandal, D., & Ghoshal, S. P. (2015). A design of low swing and multi threshold voltage based low power 12T SRAM cell. Computers & Electrical Engineering, 45, 108-121.
[19]. Wang, B., Zhou, J., & Kim, T. T. H. (2015). SRAM devices and circuits optimization toward energy efficiency in multith V CMOS. Microelectronics Journal, 46(3), 265-272.
[20]. Wang, X., Zhang, Y., Lu, C., & Mao, Z. (2016). Power efficient SRAM design with integrated bit line charge pump. AEU- International Journal of Electronics and Communications, 70(10), 1395-1402.
[21]. Wu, S. L., Lu, C. Y., Tu, M. H., Huang, H. S., Lee, K. D., Kao, Y. S., & Chuang, C. T. (2016). A 0.35 V, 375 kHz, 5.43 ?W, 40 nm, 128 kb, symmetrical 10T subthreshold SRAM with tri-state bit-line. Microelectronics Journal, 51, 89-98.
[22]. Zamani, M., Hassanzadeh, S., Hajsadeghi, K., & Saeidi, R. (2013). A 32 kb 90 nm 9T-cell sub-threshold SRAM with improved read and write SNM. In Design & Technology th of Integrated Systems in Nanoscale Era (DTIS), 2013 8 International Conference on (pp. 104-107). IEEE.
If you have access to this article please login to view the article or kindly login to purchase the article

Purchase Instant Access

Single Article

North Americas,UK,
Middle East,Europe
India Rest of world
USD EUR INR USD-ROW
Pdf 35 35 200 20
Online 35 35 200 15
Pdf & Online 35 35 400 25

Options for accessing this content:
  • If you would like institutional access to this content, please recommend the title to your librarian.
    Library Recommendation Form
  • If you already have i-manager's user account: Login above and proceed to purchase the article.
  • New Users: Please register, then proceed to purchase the article.