Classification and Evaluation of Transition Reduction Data Encoding Techniques for Low Power SOC/NOC Interconnects

N. Chintaiah*, G. Umamaheswara Reddy**
* Research Scholar, Department of Electronics and Communication Engineering, Sri Venkateswara University College of Engineering, Sri Venkateswara University, Tirupati, India.
** Professor, Department of Electronics and Communication Engineering, Sri Venkateswara University College of Engineering, Sri Venkateswara University, Tirupati, India.
Periodicity:July - December'2017
DOI : https://doi.org/10.26634/jes.6.1.13895

Abstract

Signal integrity is becoming more and more challenging as technology changes scales down in the direction of Deep Sub Micron (DSM) technology. Interconnects are now considered the bottleneck in the design of Integrated Circuits. In the DSM technology, a coupling capacitance between interconnects is the dominant factor in the total wire capacitance. The coupling effect (capacitance formation) dominates the consumption of energy in the run-instant on the chip bus. The present survey paper with an object is to provide an overview of various approaches of encoding to reduce the coupling capacitance effect for focusing on the coding scheme to reduce switching activities on the bus. These encoding techniques are mainly memory based, degree of encoding adaptability, the amount of extra information needed for coding the targeted capacitance for switching reduction and the method for the implementation of encoding.

Keywords

Crosstalk, Deep Sub Micron (DSM), Bus Invert (BI), Integrated Circuit (IC), Code Book, Forbidden Pattern Free, Forbidden Transition Free, Fibonacci, , Network On Chip (NOC), System On Chip (SOC)

How to Cite this Article?

Chintaiah, N., and Reddy, U, G. (2017). Classification and Evaluation of Transition Reduction Data Encoding Techniques for Low Power SOC/NOC Interconnects. i-manager's Journal on Embedded Systems, 6(1), 35-46. https://doi.org/10.26634/jes.6.1.13895

References

[1]. Aghaghiri, Y., Fallah, F., & Pedram, M., (2002). ALBORZ: Address level bus power optimization. In Proceedings of International Symposium of Quality Electronic Design (ISQED) (pp. 470–475).
[2]. Aghaghiri, Y., Fallah, F., & Pedram, M., (2001). Irredundant address bus encoding for low-power. In Proceedings of IEEE International Symposium Low-Power Electronics and Design (ISLPED) (pp. 182–187).
[3]. Baek, K. H., Kim, K. W., & Kang, S. M. (2000). A low energy encoding technique for reduction of coupling effects in SOC interconnects. In Proceedings of 43rd IEEE Midwest Symp. Circuits and Systems (pp. 80–83).
[4]. Benini, L., De Micheli, G., Macii, E., Sciuto, D., & Silvano C., (1997). Asymptotic zero-transition activity encoding for address busses in low-power microprocessor-based systems. In Proceedings of IEEE/ACM Great Lakes Symposium on VLSI (GLS-VLSI) (pp. 77–82).
[5]. Brahmbhatt, A. R., Zhang, J., Wu, Q., & Qiu, Q., (2006). Adaptive low-power bus encoding based on weighted code mapping. In Proceedings IEEE International Symposium on Circuits and Systems (ISCAS) (pp. 1739- 1742).
[6]. Daun, C., Calle, V. H. C., & Khatri, S. P. (2009). Efficient on-chip crosstalk Avoidance CODEC Design. IEEE Transactions on Very Large Scale Integration Systems, 17(4), 551–560.
[7]. Fornaciari, W., Polentarutti, M., Sciuto, D., & Silvano, C., (2000). Power optimization of system-level address buses based on software profiling. In Proceedings of International Conference on Hardware/Software Codesign (CODES) (pp. 29–33.
[8]. Givargis. T., & Eppstein, D. (2002). Reference caching using unit distance redundant codes for activity reduction on address buses. In Proceedings of International Workshop on Embedded System Hardware/Software Codesign (ESCODES) (pp. 43–48).
[9]. Hashemian, R. (1996). A new method for conversion of a 2's complement to canonic signed digit number system and its representation. In Signals, Systems and Computers, 1996. Conference Record of the Thirtieth Asilomar Conference on (pp. 904-907). IEEE.
[10]. Hatta, N., Barli, N. D., Iwama, C., Hung L. D., Tashiro, D., Sakai, S. et al. (2004). Bus serialization for reducing power consumption. Information and Media Technologies, 1(2), 686-694.
[11] Henkel, J., & Lekatsas, H. (2001). A2BC: Adaptive address bus coding for low-power deep submicron designs. In Proceedings of Annual ACM/IEEE Design Automation Conference (DAC) (pp. 744–749).
[12]. Hong, S., Chung, K., Narayanan, U., & Kim, T. (2000). Decomposition of bus-invert coding for low power I/O. J. Circuits Systems and Computers, 10(1), 2000, 101–111.
[13]. Kim, K. W., Beck, K. H., Shanbhag, N., Liu, C. L., & Kang, S. M. (2000). Coupling-driven signal encoding scheme for low power interface design. In IEEE/ACM International Conference on Computer-aided Design (pp. 318–321).
[14]. Komatsu, K., & Fujita, M., (2003). Irredundant address bus encoding techniques based on adaptive codebooks for low power. In Proceedings of the Conference on Asia South Pacific Design Automation (ASPDAC) (pp. 9-14).
[15]. Macchiarulo, L., Macii, L., & Poncino, M., (2001). Lowenergy for deep-submicron address buses. In Proceedings of the 2001 International Symposium on Low Power Electronics and Design (ISLPED) (pp. 176-181).
[ 1 6 ] . Pasricha,S.,&Dutt,N.(2010).On-chip Communication Architectures: System on Chip interconnect. Morgan Kaufmann.
[17]. Pucknell, D. A., & Eshraghian, K. (1988). Basic VLSI Design: Systems and Circuits. Prentice-Hall, Inc.
[18]. Ramprasad, S., Shanbhag, N. R., & Hajj, I. N. (1999). A coding framework for low-power address and data busses. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 7(2), 212-221.
[19]. Shin, Y., Chae, S., & Choi, K. (2001). Partial bus-invert coding for power optimization of application-specific systems. IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 9(2), 377–383.
[20]. Shirmohammadi, Z. & Miremadi, S. G. (2016). On designing an efficient numerical-based forbidden pattern free crosstalk avoidance codec for reliable data transfer of NoCs. Microelectronics Reliability, 63, 304-313.
[21]. Stan, M. R., & Burleson, W. P. (1994a). Limited-weight codes for low-power I/O. In International Workshop on Low Power Design, 6(3), 6-8.
[22]. Stan, M. R., & Burleson, W. P. (1994b). Limited-weight codes for low-power I/O. In Proceedings of International Workshop on Low Power Design (pp. 209–214).
[23]. Stan, M. R., & Burleson, W. P. (1995). Bus invert coding for low power I/O. IEEE Transactions on Very Large Scale Integration Systems, 3, 49-58.
[24]. Subrahmanya, P., Manimegalai, R., & Kamakoti, V. (2004). A bus encoding technique for power and crosstalk minimization. In Proceedings of International Conference on VLSI Design (VLSID) (pp. 443-448).
[25]. Yang, J., & Gupta, R. (2001). FV encoding for lowpower data I/O. ACM/IEEE International Symposium on Low Power Electronic Design (ISLPED) (pp. 84-87).
[26]. Yang, J., Gupta, R., & Zhang, C. (2004). Frequent value encoding for low power data buses. ACM Transactions on Design Automation of Electronic Systems, 9(3), 354-384.
[27]. Zhang, Y., Lach, J., Skadron, K., & Stan, M. R. (2002). Odd/even bus invert with two-phase transfer for buses with coupling. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED) (pp. 80-83).
If you have access to this article please login to view the article or kindly login to purchase the article

Purchase Instant Access

Single Article

North Americas,UK,
Middle East,Europe
India Rest of world
USD EUR INR USD-ROW
Pdf 35 35 200 20
Online 35 35 200 15
Pdf & Online 35 35 400 25

Options for accessing this content:
  • If you would like institutional access to this content, please recommend the title to your librarian.
    Library Recommendation Form
  • If you already have i-manager's user account: Login above and proceed to purchase the article.
  • New Users: Please register, then proceed to purchase the article.